Icarus Verilogのインストールについて

Icarus Verilog のインストールについて書きます。なお、PCはWindows10を想定しています。

  1. 下記サイトのDownloadの項にあるものからダウンロードする
    bleyer.org
    latest stable と書いてあるものが無難かもしれません。なお、2021/4/2時点の最新安定版は以下のものでした
    iverilog-0.9.7_setup.exe (latest stable release) [10.5MB]

  2. インストールを行う。この際以下の点にとっても注意すること

  3. インストール先のディレクトリ/フォルダ名について
    • スペースを入れてはダメ
      • NG) C:\Program Files\iVerilog
      • NG) C:\iVerilog
      • NG) C:\Tools\iVerilog
    • インストール先のディレクトリ/フォルダ名に日本語もない方が良さそう
    • つまり、Cドライブの直下に入れるのが安全そう
  4. PATH変数の設定

    • インストール画面の指示に従っていると、途中で下記のようにPATH変数の設定を聞かれる
    • (Add executable folder(s) to the user PATH)
    • 上の項目に必ずチェックを入っていること。外さないで。
    • ただどうダメなのかイマイチ分かってない(チェックしないで試したことがない)
  5. コマンドプロンプトを起動し、iverilogと打って下記のようなテキストが出てきたらインストールは成功。ちなみにgtkwaveと打つとgtkwaveが起動する(iverilogインストールするときにgtkwaveも一緒にインストールされるので)

iverilog: no source files.

Usage: iverilog [-ESvV] [-B base] [-c cmdfile|-f cmdfile]
                [-g1995|-g2001|-g2005] [-g]
                [-D macro[=defn]] [-I includedir] [-M depfile] [-m module]
                [-N file] [-o filename] [-p flag=value]
                [-s topmodule] [-t target] [-T min|typ|max]
                [-W class] [-y dir] [-Y suf] source_file(s)

iverilogのインストールについては、下記サイトも参考にしてみてください

altmo.html.xdomain.jp

note.com

では、良い verilog ライフを!